1.2 volt GPIO on Altera Cyclone V dev kit board

Hi,
I am using the Altera/Intel Cyclone V SOC dev board.
I need 2 GPIOS I can wire to an external connector.
I think the only GPIO pins accessible to the FPGA fabric are in the HSMA connector.
Can I configure those ot be anything other than 2.5v IOS???
I need to interface to 1.2 ideally.
Is there any other IO on the board that are not dedicated to LEDs, switches or other devices?
Any help is much appreciated.
Thanks, Martin