D10_NANO Compilation Process

Hello!

I’m new to this topic and I’m encountering issues while attempting to compile and execute a “hello_world” example project in OpenCL. When I try to compile, I encounter the following problem:

aoc: First stage compilation completed successfully.
Compiling for FPGA. This process may take a long time, please be patient.
Error (213009): File name “top.sof” does not exist or can’t be read
Error: Quartus Prime Convert_programming_file was unsuccessful. 1 error, 0 warnings
Error: Flow compile (for project D:/intel/openCl/intelFPGA/18.1/hld/board/terasic/de10_nano/test/hello_world/bin/hello_world/top) was not successful
Error: ERROR: Error(s) found while running an executable. See report file(s) for error message(s). Message log indicates which executable was run last.
Error (23031): Evaluation of Tcl script d:/intel/opencl/intelfpga/18.1/quartus/common/tcl/internal/qsh_flow.tcl unsuccessful
Error: Quartus Prime Shell was unsuccessful. 9 errors, 1395 warnings
Error: Compiler Error, not able to generate hardware

I would greatly appreciate it if someone could assist me with this.

The guide I used for the compilation is the following: Terasic