NCO And FIR IP cores

Hello looking for answers to questions. Qsys has an NCO IP core. Sine generator. I can change the frequency of the input clk and thereby adjust the frequency of the sinus output. But this is not enough for me, I would like to set a fixed frequency at the input, then control the frequency of the sinusoid at the output. Tell me how to do this, the kernel supports this feature?

There is also an IP core for the synthesis of digital FIR filters. Can I make a filter into which you can load the coefficients in the process of working in real time? That is, bring the Avalon bus to it and load coefficients from the flash memory

For the NCO, you can use the frequency hopping features so that you’ll be able to generate up to 16 different frequencies - each frequency band is a different frequency. It has the capability to do frequency modulation, which you could use to get different frequencies. If you want it to be totally run time configurable, I think you’ll have to come up with an implementation using the CORDIC core instead.

For the FIR, yes you can change the coefficients in real-time. You’ll need to select and use the coefficients reload feature.

HTH,
Susannah

Hello, I made a project in Qsys.


Tell me how to simulate this IP core in ModelSim?
I wrote a program to manage NCO. I want to input the data 85899346 or any other number.

There is an input [31: 0] In I need to give it the number 85899346. I do not understand how to connect In (Avalon bus) and the output of the control module?

image

The main question is where it is better to simulate the Qsys project.

Hello, @Icedevil777111,
everything You need is very well described at the documentation of Quartus Prime.
So, please, try to read it first. Documentaion of NCO IP Core could be reached form lmany many places in Qsys, You cannot miss it.
I am sorry for disappointg You but it seems me that You has not read anything and want others to solve Your problems. Please, do not be angry with me.
Best wishes,
Jan Konečný.